Episodios

  • Uncovering 2.5D and 3D IC Tests
    Feb 13 2023
    One of the best ways to speed-up product development is to integrate test as early as possible in the design cycle. This shift-left strategy becomes even more critical when advanced IC designs evolve from a single die per package to complex systems with multiple dies integrated into a package. These 2.5D and 3D multi-die design strategies pose some interesting challenges and opportunities for test. Today, David Lyell interviews Joe Reynick, the Tessent Product Engineering Manager for Siemens EDA. He’ll help us to understand the complexity of development tests for 3D and 2.5D packages. In this episode, you’ll learn about the challenges of performing comprehensive tests on 3D and 2.5D designs. You’ll also hear about the factors that you need to consider while planning for 3D DFT and IP tests. Additionally, you’ll hear about how 2.5D tests and 3D tests can complement each other. What You Will Learn In This Episode: The things you need to be aware of when doing 2.5D and 3D tests (03:34) The DFT and IP test methods that the DFT and IP test team should implement (09:36) The die and package level planning interactions needed for 3D DFT and IP test (11:22) Factors to consider while doing 3D tests (14:20) What is involved in multi-die IP core test (16:00) Connect with Joe Reynick:  LinkedIn Connect with David Lyell:  LinkedIn Hosted on Acast. See acast.com/privacy for more information.
    Más Menos
    21 m
  • Getting Started with 3D IC
    Nov 8 2022
    3D IC designs are complex puzzles that engineers have to solve to achieve high performance and reliability. While vertical stacking gives more design options, it also increases the possible number of defective arrangements. There is no one-design-fits-all in 3D IC; engineers must understand their needs and create designs that meet them. Today, John McMillan interviews John Ferguson, Product Management Director of Calibre DRC Technologies at Siemens Digital EDA. He’ll help us understand the complexity of 3D IC designs and how it impacts their reliability. In this episode, you’ll learn about some of the guardrails that increase the reliability of 3D IC devices. You’ll also hear about some of the common challenges an engineer has to solve while designing such devices. Additionally, you’ll get some tips on where to start with 3D IC designs to minimize cost and maximize performance. What You Will Learn in this Episode: Improving the reliability of heterogeneous assemblies (01:36) Solving the heat problem in heterogeneous assemblies (07:46) The sources of mechanical stress in 3D IC assemblies and how to address them (14:44) Where to start when designing 3D IC devices (22:07) Connect with John Ferguson:  LinkedIn Connect with John McMillan:  LinkedIn Hosted on Acast. See acast.com/privacy for more information.
    Más Menos
    27 m
  • 3D IC Integration Challenges
    Jul 12 2022
    A common challenge faced when actualizing new technologies such as 3D IC is the lack of specialized tools to mass assemble them. That’s because their designs are normally developed by R&D teams that view commercialization as someone else’s task. At the moment, organizations are working to create and perfect the tools need to assemble 3D IC chips. Today, John McMillan interviews John Ferguson, Director of Product Management, Dusan Petranovic, Principal Technologist, and Steve McKinney, Account Technology Manager. They’ll help us understand what a 3D IC verification workflow might look like. In this episode, you’ll learn about the challenges associated with 3D IC integration and the components required to make it possible. You’ll also learn about the upgrades that have to be made to make 3D IC assembly possible. Additionally, you’ll hear about parasitic extraction and the tools available to execute it. What You Will Learn In This Episode: Challenges faced in the manufacture of 3D IC chips (02:01) How to ensure that a 3D IC assembly line is aligned (04:18) The challenges of integrating 3D IC (10:55) The tools needed to make 3D IC integration possible (13:56) Connect with Dusan Petranovic:  LinkedIn Connect with Steve McKinney:  LinkedIn Connect with John McMillan:  LinkedIn Hosted on Acast. See acast.com/privacy for more information.
    Más Menos
    21 m
  • 3D IC Physical Design Workflow
    Apr 14 2022
    One of the challenges of designing 3D IC chips is getting data from different sources in different formats to work together. You also need a solution that allows you to verify different components such as the interposer, the package, and the die together. Siemens solution allows you to combine data from different sources and handle the verification process collectively. Today, John McMillan interviews Mike Walsh, Technical Applications Engineering Director of the Technical Solutions Sales Team at Siemens Digital EDA. He’ll help understand what a 3D IC physical design workflow might look like. In this episode, you’ll learn about the challenges associated with 3D IC physical design. You’ll also learn about how Siemens solution enables design teams to use data received in different formats. Additionally, you’ll learn why it's important to keep verification in mind early on in the design process. What You Will Learn in this Episode: The challenges faced in the 3D IC physical design phase (01:33) The importance of a design solution that can deal with multiple data formats (03:39) How Siemens helps organizations combine data from different sources in different formats (07:55) The different aspects of 3D IC design flow (12:06) Connect with Mike Wash:  LinkedIn Connect with John McMillan:  LinkedIn Hosted on Acast. See acast.com/privacy for more information.
    Más Menos
    20 m
  • 3D IC Front-End Architecture
    Mar 23 2022
    For 3D IC to achieve its full potential there is a need to utilize cost-effective front-end design approaches. This is because different microarchitectures will result in different physical sizes, power performance, and cost of production. One of the solutions that have been successfully deployed is the high-level predictive analysis which helps in figuring out optimal architectures for specific cases. In this episode, John McMillan interviews Anthony Mastroianni, the 3D IC Solutions Architect Director at Siemens Digital Industries Software. And, Gordon Allan, Product Manager for Verification IP Solutions at Siemens EDA. They’ll help us understand 3D IC front-end aspects and the latest developments in this field. In this episode, you’ll learn how predictive analytics can be utilized to make 3D IC design faster and cheaper. You’ll also learn what 3D IC front-end design involves and the best way of approaching it. Additionally, you’ll hear about what Siemens is doing to contribute towards making the design process more efficient. What You Will Learn in this Episode: The role of predictive analytics in the front-end design of 3D IC (03:03) How 3D IC packaging should be approached (08:35) The design aspects enabled by 3D IC (12:06) What Siemens is doing to help in front-end 3D IC design (14:50) Connect with Gordon Allan:  LinkedIn Connect with Anthony Mastroianni:  LinkedIn Connect with John McMillan:  LinkedIn Hosted on Acast. See acast.com/privacy for more information.
    Más Menos
    22 m
  • 3D IC Package Design Flows
    Mar 9 2022
    In most cases, it’s very expensive to get game-changing technological advancements in electrical components to the market. This is because they also require the advancement of the existing design workflows and tools. This barrier has slowed down and even completely stopped the possible mass production of many revolutionary devices. 3D IC is not exempt from this challenge - that’s why several large companies have invested heavily in the development of new package design flows and tools to handle it. In this episode, John McMillan interviews Anthony Mastroianni, the 3D IC Solutions Architect Director at Siemens Digital Industries Software. He has been in the semiconductor industry for over 30 years, primarily in the design of custom integrated circuits. He will help us understand the necessary design flow changes needed to make 3D IC a reality. In this episode, you will learn about the differences between traditional semiconductor packaging and 3D IC packaging. You will also hear about the design workflow required to successfully build 3D IC-based devices. Additionally, you will hear about the new tools that are required to successfully create and test such devices. What You Will Learn in this Episode: The difference between 3D IC and traditional semiconductor packaging (01:37) Why 3D IC requires a change in package design flows (03:28) The workflows envisioned for 3D IC (05:57) The new tools required to handle the new workflows (07:47) The most critical 3D IC workflows (11:13) Connect with Anthony Mastroianni:  LinkedIn Connect with John McMillan:  LinkedIn Hosted on Acast. See acast.com/privacy for more information.
    Más Menos
    14 m
  • The Application and Adoption of 3D IC
    Feb 16 2022
    Standardization plays an important role in promoting the mass adoption of new electrical components. For instance, Application-Specific Standard products, known as ASSP components have been broadly adopted by system designers for board-level integration. These devices have standardized models to enable the PCB level, system design ecosystem. Chiplets are an analogous component that can be integrated at the package level. That’s why the 3D IC design community will likely advocate for standardized models to be adopted by prospective chiplet vendors. In this episode, John McMillan interviews Anthony Mastroianni, the 3D IC Solutions Architect Director at Siemens Digital Industries Software. He is also a member of the Chiplet Design Exchange, whose charter is to standardize chiplet models and deliverables. He will help us understand the application of 3D IC and the need for standard chiplet models. In this episode, you will find out how the 3D IC technology is being utilized and the impact it is having. We will also discuss what the future holds for this new methodology and the steps that need to be made to drive its adoption. Lastly, you will learn about the progress being made in standardizing chiplet models and the need for defined workflows to facilitate a chiplet ecosystem. What You’ll Learn in this Episode: Types of applications and packaging technologies currently deploying 3D IC methodologies (01:37) Where the industry is heading in terms of 3D IC adoption (05:08) The types of chiplets available today (05:51) The role of Chiplet Design Exchange in driving 3D IC adoption (07:37) Connect with Anthony Mastroianni:  LinkedIn Connect with John McMillan:  LinkedIn Hosted on Acast. See acast.com/privacy for more information.
    Más Menos
    13 m
  • An Introduction to 3D IC
    Jan 26 2022
    Electronic circuits design has become more complex due to the consumers’ need for more features that require more processing capability. To keep up with these demands, new methodologies of designing and connecting chips have been developed. The latest innovation in this area is the three-dimensional integrated circuits (3D IC), which take less space and deliver much higher performance compared to traditional monolithic technologies. In this episode, John McMillan interviews Anthony Mastroianni, the 3D IC Solutions Architect Director at Siemens Digital Industries Software. He has been in the semiconductor industry for over 30 years, primarily in the design of custom integrated circuits. He’ll help us understand the 3D IC technology and the impact it’s expected to have. In this episode, you’ll learn about the current chip standards in the industry and where they fall short. You’ll also learn how 3D IC works and how it will help in solving most of the challenges facing today’s chips. Additionally, you’ll hear about the challenges that come with 3D IC and how some of them are being resolved. What You’ll Learn in this Episode: The problem that 3D IC solves (01:49) How 3D IC works and why it gives tremendous performance (06:37) The difference between 3D IC and other technologies (10:22) The challenges in moving to 3D IC (15:56) Connect with Anthony Mastroianni:  LinkedIn Hosted on Acast. See acast.com/privacy for more information.
    Más Menos
    22 m